sponsor Vim development Vim logo Vim Book Ad

VHDL indent : indent file for VHDL

 script karma  Rating 57/29, Downloaded by 3383  Comments, bugs, improvements  Vim wiki

created by
N.J. Heo
 
script type
indent
 
description
This is indent file for VHDL
The new versions are made by Janez Strangelj(jkranjski_at_email_dot_si)
 
install details
copy it to /vim/vim6x/indent/vhdl.vim
 

rate this script Life Changing Helpful Unfulfilling 
script versions (upload new version)

Click on the package to download.

package script version date Vim version user release notes
vhdl.vim 0.3 2004-01-22 6.0 N.J. Heo This code is made by Janez Strangelj(jkranjski_at_email_dot_si)
vhdl.vim 0.2 2003-12-10 6.0 N.J. Heo By Janez Stangelj
vhdl.vim 0.1 2003-09-08 6.0 N.J. Heo Initial upload
ip used for rating: 3.145.108.9

If you have questions or remarks about this site, visit the vimonline development pages. Please use this site responsibly.
Questions about Vim should go to the maillist. Help Bram help Uganda.
   
Vim at Github