sponsor Vim development Vim logo Vim Book Ad

signal_dec_VHDL : Defines internal signals in VHDL

 script karma  Rating 0/0, Downloaded by 421  Comments, bugs, improvements  Vim wiki

created by
Sunil Shukla
 
script type
utility
 
description
This utility defines an internal signal in VHDL. It map the function to key combination ,,. Within the architecture region, do ,, in visual mode and you will be prompted for the length of the signal. Only works for std_logic and std_logic_vector.
 
install details
copy the file anywhere and source it in your _vimrc.
 

rate this script Life Changing Helpful Unfulfilling 
script versions (upload new version)

Click on the package to download.

package script version date Vim version user release notes
signal_dec_VHDL.vim 0.1 2009-11-30 6.0 Sunil Shukla Initial upload
ip used for rating: 3.22.248.208

If you have questions or remarks about this site, visit the vimonline development pages. Please use this site responsibly.
Questions about Vim should go to the maillist. Help Bram help Uganda.
   
Vim at Github