sponsor Vim development Vim logo Vim Book Ad

hdl_plugin : Vhdl/Verilog fast instant and generate testbench file.

 script karma  Rating 77/22, Downloaded by 3362  Comments, bugs, improvements  Vim wiki

created by
陈 勇
 
script type
utility
 
description
"    hdl_plugin is a plugin that enables you to fast instant and generate a testbench file.
"    it can help you to compile by modelsim convenient.
"

"    main function:
"    Add a menu for vim:
"    create a library
"    Compile file
"    Add File Header
"    Add Content
"    Process
"    Module/Entity
"    Vhdl Component:Creat a window to display the Component information,and add these to clipboard
"    Verilog Instant : Fast instant for verilog.Also add to clipboard
"    Vhdl Testbench :Generate a vhdl testbench file
"    Verilog Testbench :Generate a verilog testbench file
“    Format Vhdl File: it can help you to finishing the code.
"                              Set ":,=>" in the same position.
"                              Support the "component","signal","instant"and "entity" part.
"    Use <leader>, to fast define signal.

"    view details:http://www.cnblogs.com/ifys/archive/2010/11/20/1882673.html#
"    e-mail: ifys0325@163.com
"    Welcome to post your suggestions to me.
  
 
install details
Copy the hdl_plugin to $VIM/vimfiles/plugin/ (on Windows platforms).
 

rate this script Life Changing Helpful Unfulfilling 
script versions (upload new version)

Click on the package to download.

package script version date Vim version user release notes
hdl_plugin.vim v2.5 2011-03-30 7.0 陈 勇 Fixed a bug in function HDL_Signal_Dec_Vhdl();
Add a function HDL_Generic_For_Debussy(),which can used with debussy.
hdl_plugin.vim v2.4 2011-01-26 7.0 陈 勇 Fixed Some Bugs
hdl_plugin.vim v2.3 2011-01-26 7.0 陈 勇 Add a Function "HDL_Signal_Dec_Vhdl".Which can fast define signal.
          Use defaule <leader>, as the hot key.The idea from sunil shukla.
Fixed Some Bugs.
Redifined The function name.
hdl_plugin.vim v2.2 2011-01-26 7.0 陈 勇 Add a menu list "Format Vhdl File" which can finishing the code.
make ":,=>" in the same position.Support the "component","signal","instant"and "entity" part.
hdl_plugin.vim v2.1 2011-01-26 7.0 陈 勇 Supports "inout" port
Can be used for verilog file
hdl_plugin.vim v2.0 2011-01-26 7.0 陈 勇 Supports many port in the identical line
hdl_plugin.vim v1.9 2011-01-26 7.0 陈 勇 Added support for 'generic'
Updata the menu
Set some initial value
hdl_plugin.vim v1.8 2011-01-26 7.0 陈 勇 Fixed some bugs
hdl_plugin.vim v1.7 2011-01-25 7.0 陈 勇 Initial upload
ip used for rating: 18.191.228.88

If you have questions or remarks about this site, visit the vimonline development pages. Please use this site responsibly.
Questions about Vim should go to the maillist. Help Bram help Uganda.
   
Vim at Github